} ?>
Company code: 688082 Company abbreviation: Shengmei Shanghai
Shengmei Semiconductor Equipment (Shanghai) Co., Ltd
Summary of the 2024 Annual Report
Section 1 Important Notice
1. The summary of this annual report is from the full text of the annual report, in order to fully understand the company's operating results, financial status and future development plan, investors should go to the www.sse.com.cn website to read the full text of the annual report carefully.
2. Major risk warning
During the reporting period, there were no particularly significant risks that had a substantial impact on the company's production and operation. The Company has described the possible relevant risks in detail in the report, please refer to the section "Section 3 Management Discussion and Analysis: IV. Risk Factors".
3. The Board of Directors, the Board of Supervisors, the directors, supervisors and senior management of the Company guarantee the authenticity, accuracy and completeness of the contents of the annual report, and that there are no false records, misleading statements or material omissions, and bear individual and joint legal liabilities.
4. Non-attendance of directors
Non-attendance of directors Name of non-attendance of directors Explanation of the reason for non-attendance of directors Name of the person delegated
Director Luo Qianli Conflict of Emergency Work Assignment Arrangement Peng Mingxiu
DIRECTOR HAIPING DUN EMERGENCY WORK ASSIGNMENT SCHEDULING CONFLICT PENG MINGXIU
DIRECTOR STEPHEN SUN-HAI URGENT WORK SCHEDULING CONFLICT ZHANBING REN
CHIAO
5. Lixin Certified Public Accountants (Special General Partnership) issued a standard unqualified audit report for the company. 6. The company is not profitable and has not yet achieved profitability at the time of listing
□ Yes √ No
7. The profit distribution plan or the plan for the conversion of provident fund into share capital for the reporting period approved by the board of directors
According to the resolution of the 17th meeting of the second session of the board of directors, the company intends to distribute profits in 2024 based on the total share capital registered on the record date of the implementation of equity distribution and the shares in the company's special securities account for repurchase. The profit distribution plan is as follows: as of December 31, 2024, the company's total share capital is 438,740,753 shares, based on the total share capital after excluding 0 shares that have been repurchased, it is proposed to distribute cash dividends of 6.57 yuan (tax included) for every 10 shares, with a total cash dividend of 288,252,674.72 yuan (tax included), and the amount of cash dividends for this profit distribution accounts for 25% of the net profit attributable to shareholders of the parent company in the consolidated statements in 2024. There will be no bonus shares for this profit distribution, and no capital reserve will be converted into share capital. If there is an increase or decrease in the total share capital of the company from the date of disclosure of the announcement to the registration date of the implementation of equity distribution, the company shall maintain the total distribution amount unchanged and adjust the distribution ratio per share accordingly. If there is a subsequent change in the total share capital, the specific adjustment will be announced separately.
8. Whether there are special arrangements for corporate governance and other important matters
□ Applicable √ Not applicable
Section 2 Basic information of the company
1. Company profile
1.1 Company Shares
√ Applicable □ Not applicable
Company stock profile
Stock Type Stock Listed Exchange Stock Abbreviation Stock Code Stock Abbreviation Before the change
and plates
A-Shares: Shanghai Stock Exchange, Shengmei Shanghai, 688082 Not applicable
Science and Technology Innovation Board
1.2 Brief description of the Company's Depositary Receipts
□ Applicable √ Not applicable
1.3 Contacts and Contact Details
Secretary of the Board of Directors and Representative of Securities Affairs
Name: Luo Mingzhu /
Address: Dangui Road, China (Shanghai) Pilot Free Trade Zone
Building B2, Lane 999 /
Phone: 021-50276506 /
Fax: 021-50808860 /
E-mail ir@acmrcsh.com /
2. Brief introduction of the company's main business during the reporting period
2.1 Main business, main products or services
1. Main business
The company is mainly engaged in the development, manufacturing and sales of semiconductor cleaning equipment, semiconductor electroplating equipment, vertical furnace series equipment, gluing and developing track equipment, plasma-enhanced chemical vapor deposition PECVD equipment, stress-free polishing equipment, back-end advanced packaging equipment and silicon material substrate manufacturing process equipment that are crucial to the integrated circuit manufacturing industry, and is committed to providing semiconductor manufacturers with customized, high-performance, low-consumption process solutions to effectively improve the production efficiency of customers in multiple steps. product yield, and reduce production costs.
2. Main products
After years of continuous R&D investment and technology accumulation, the company has successively developed front-end semiconductor process equipment, including cleaning equipment, semiconductor electroplating equipment, vertical furnace series equipment, gluing and developing track equipment, plasma-enhanced chemical vapor deposition PECVD equipment, and stress-free polishing equipment; Back-end advanced packaging process equipment and silicon material substrate manufacturing process equipment.
(1) Front-end semiconductor process equipment
(1) Clean the equipment
A. SAPS megasonic monolithic cleaning equipment
The megasonic energy on the surface of the wafer and the distance between the wafer and the megasonic generator change periodically. In the traditional mega sound
In the wave cleaning process, the wafer warping caused by the stress after different processes makes the distance from different points on the wafer to the megasonic generator different, so the megasonic energy at different positions on the wafer is also different, and the uniform distribution of the megasonic energy on the surface of the wafer cannot be realized. Moreover, due to the error of hardware position control, it will also cause the uneven distribution of megasonic energy on the surface of the wafer.
The company's self-developed SAPS megasonic technology uses a fan-shaped megasonic generator, which accurately matches key process parameters such as wafer rotation speed, liquid film thickness, position of the megasonic generator, alternating displacement and energy, and controls the relative movement of the half-wavelength range between the megasonic generator and the wafer in the process, so that each point on the wafer receives the same megasonic energy during the process time, so as to control the uniform distribution of megasonic energy on the wafer surface.
B.TEBO megasonic monolithic cleaning equipment
The company's self-developed TEBO cleaning equipment can be applied to 28nm and below graphic wafer cleaning, through a series of fast
The pressure change (at a frequency of one million times per second) makes the bubble oscillate in size and shape at a controlled temperature, controlling the bubble in a stable oscillating state without imploding, thus keeping the wafer microstructure undamaged and eliminating the pattern structure on the wafer surface
Damage cleaning. The company's TEBO cleaning equipment can be applied to more elaborate applications in the technology transfer of device structures from 2D to 3D
of FinFETs, DRAM, and emerging 3D NAND with 3D structures, as well as new nanoand quantum devices in the future
etc., play an increasingly important role in improving the yield of customers' products.
The company solves the problem of megasound through self-developed SAPS and TEBO megasonic cleaning technology with global intellectual property protection
When wave technology is applied to integrated circuit monolithic cleaning equipment, how to evenly distribute the megasonic energy on the wafer and how to achieve the pattern structure without damage is a global problem. In order to maximize production capacity, the company's single-chip cleaning equipment can be configured with multiple process chambers according to customer needs, and a single unit can be configured with up to 18 chambers, effectively improving the production efficiency of customers.
C. High-temperature monolithic SPM device
As technology advances, process temperatures above 150 degrees Celsius and even over 200 degrees Celsius are required for SPM process steps
Increase gradually. Photoresist removal after high-dose ion implantation, pure wet degumming without ashing steps, and special metal film etching or peeling all place higher demands on the temperature of the SPM. The company's new monolithic high-temperature SPM equipment uses a unique multi-stage gradient heating system to preheat sulfuric acid, which is then mixed with hydrogen peroxide to achieve ultra-high temperatures. At the same time, the company's chamber supports the configuration of a variety of other chemicals and is equipped with an in-line chemical mixing (CIM) system, which can be used to dynamically set the chemical ratio and temperature in the process. The chamber configuration also allows for additional chemistries and flexible assisted cleaning options, such as the company's proprietary patented technology
SAPS and TEBO megasonic technology. The device can support 300mm wafer monolithic SPM (mixed acid with sulfuric acid and hydrogen peroxide)
The process can be widely used in wet cleaning and etching processes in the manufacture of integrated circuits such as logic, DRAM, and 3D-NAND, and is particularly suitable for photoresist (PR) removal processes after high-dose ion implantation, as well as metal etching and stripping processes.
D. Single-chip trough combined cleaning equipment
The company's in-house developed Tahoe cleaning equipment, with global intellectual property protection, integrates two modules in a single wet cleaning plant: a trough module and a monolithic module. Tahoe cleaning equipment can be used in dozens of critical cleaning processes, including photoresist removal, post-etching, post-ion implantation, and post-mechanical polishing. The cleaning results and process suitability of the Tahoe cleaning equipment are comparable to that of the monolithic low- and medium-temperature SPM cleaning equipment. By reducing sulfuric acid consumption by up to 75%, the plant can save up to hundreds of thousands of dollars per year in sulfuric acid alone, helping customers reduce production costs and better comply with national energy conservation and emission reduction policies. The equipment has a robust cleaning capability and achieves an average particle single-digit standard in the 26 nanoparticle test to meet the stringent requirements of high-end manufacturing.
E. Monolithic back cleaning equipment
The monolithic back cleaning equipment developed by the company adopts Bernoulli chuck, applies the principle of aerodynamic suspension, and uses a manipulator to crystal
After the circle is fed into the cavity, the back of the wafer is facing up and the wafer is facing down, and the high-purity nitrogen gas with precise flow control passes through the gap between the wafer and the fixture during the process. At the same time, the device can also precisely control the wafer edge re-engraving width for zero undercut control. The equipment can be used for core processes such as metal contamination cleaning and back etching.
F. Edge wet etching equipment
The device supports a wide range of devices and processes, including 3D NAND, DRAM, and logic processes, which are removed using wet etching methods
A variety of dielectric, metallic, and organic films at the edge of the wafer, as well as particle contaminants. This approach minimizes the impact of edge contamination on subsequent process steps, improves yield in chip manufacturing, and incorporates backside wafer cleaning capabilities to further optimize process and product structure.
G. Front brushing equipment
The equipment uses a monolithic cavity to clean the front and back of the wafer according to the process, and can carry out cleaning processes including wafer back brushing, wafer edge brushing, and front and back two-fluid cleaning. The equipment occupies a small area, has high production capacity, strong stability, and a variety of cleaning methods are flexible and optional. The equipment can be used for all aspects of the integrated circuit manufacturing process.
H. Automatic trough cleaning equipment
The automatic trough cleaning equipment developed by the company is widely used in the cleaning, etching, photoresist removal and other processes in the field of integrated circuits and advanced packaging
The combination of washing methods, combined with atmospheric pressure IPA drying technology and low-pressure IPA drying technology, can clean 50 wafers at the same time. The device since
The degree of dynamics is high, the equipment is stable, the cleaning efficiency is high, and the cross-contamination of metals, materials and particles is low. The equipment is mainly used in almost all cleaning process steps at the 40nm and above technology nodes.
(2) Semiconductor electroplating equipment
A. Front-end copper interconnection electroplating equipment
The company has independently developed Ultra ECP map, an IC front-end copper interconnect copper plating technology for 28nm and below technology nodes. company
The multi-anode local electroplating technology adopts a new current control method to achieve millisecond-level fast switching between different anodes, which can complete hole-free filling on the ultra-thin seed crystal layer, and at the same time, through the current adjustment of different anodes, better uniformity of deposited copper film thickness can be achieved after hole-free filling, which can meet the copper plating needs of various processes.
B. Three-dimensional stacking plating equipment
Ultra ECP 3d, a 3D plating machine for filling 3D through-silicon via TSVs and 2.5D interposers. Based on Shengmei Semiconductor Electric
A platform for plating equipment that provides high-performance, non-porous copper plating for high aspect ratio (>10:1) copper applications. The equipment is designed with stacked chambers to increase production capacity, which can reduce the use of consumables, reduce costs, and save equipment use area.
C. New compound semiconductor plating equipment
The Ultra ECP GIII new compound semiconductor plating equipment has achieved mass production, and it performs well in the deep-hole gold plating process
The coverage rate is better than that of competitors under the same process parameters; At the same time, the company developed the technology of de-plating and realized the sale of modules.
(3) Vertical furnace series equipment
The vertical furnace equipment developed by the company mainly includes low-pressure chemical vapor deposition furnace, oxidation annealing furnace, alloy furnace and atomic layer deposition furnace. Among them, plasma-enhanced atomic layer deposition furnace tubes have entered 2 integrated circuit wafer manufacturing plants in China, and are being updated, optimized and prepared for mass production.
(4) Front-end gluing and developing track equipment
The company's Front-End Gluing and Development Ultra LithTM Track is a 300mm front-end integrated circuit manufacturing process
The equipment provides uniform downdraft, a high-speed and stable manipulator, and a powerful software system to meet the specific needs of the customer
Beg. The versatility of the equipment reduces product defect rates, increases productivity, and saves total cost of ownership (COO). Gluing development
Track devices support major lithography machine interfaces and support for a wide range of lithography processes including i-line, KrF, and ArF systems
While meeting process requirements, the gluing and development steps before and after exposure of wafers in lithography equipment are optimized.
(5) Plasma-enhanced chemical vapor deposition (PECVD) equipment
The company's plasma-enhanced chemical vapor deposition Ultra PmaxTMPECVD equipment is equipped with cavities and gases with independent intellectual property rights
The dispensing device and chuck are designed to provide better film uniformity, more optimized film stress, and fewer particle characteristics.
(6) Stress-free copper interconnection planarization equipment
The company's stress-free polishing equipment combines the stress-free polishing technology SFP (Stress-Free-Polish) with low-downforce chemical-mechanical planarization
The combination of technology CMP integrates the innovative low-k/ultra-low-k dielectric copper interconnect planarized Ultra-SFP polishing integrated system, set two
The advantages are that the copper film in the copper interconnection structure is first thrown to a thickness of 150nm by using low downforce chemical mechanical polishing, and then the intelligent polishing control technology of stress-free polishing SFP is used to polish the barrier layer, and finally the hot gas phase etching technology independently developed by the company is used to remove the barrier layer. The application of stress-free polishing equipment to copper low-k/ultra-low-k interconnect structures has many advantages: first, relying on the principle of automatic stop of polishing, the depression after the flattening process is more uniform and precise and controllable; Second, the process is simple, using environmentally friendly electrochemical polishing liquid that can be recycled, no polishing pads, slurries, etc., and the cost of consumables is reduced by more than 50%; There is no scratching and mechanical damage to the metal layer and dielectric layer in the interconnection structure.
(7) New compound semiconductor etching equipment
The company has launched a 6/8-inch wet process product line of compound semiconductors to support process applications in compound semiconductors, including silicon carbide (SiC), gallium nitride (GaN), and gallium arsenide (GaAs).
(2) Backward advanced packaging process equipment
(1) Advanced packaging electroplating equipment
The company has carried out differentiated development in the field of semiconductor advanced packaging, which solves the problem of achieving smooth plating at a larger electroplating solution flow. The original second anode electric field control technology is used to better control the film thickness uniformity control of the flat edge or notched area of the wafer, and realize the electroplating under the condition of high current density, and the indicators of the bump products meet the requirements of customers. In the field of plating for high-density packaging, the plating of 2μm ultra-fine RDL lines and the plating of various metal layers including copper, nickel, tin, silver, and gold can be realized. The self-developed patented rubber ring sealing technology can achieve better sealing effect.
(2) Gluing equipment
The company's upgraded 8/12-inch compatible gluing equipment for photoresist and Polyimide coating in the wafer-level packaging field, soft
Roast and remove the edges. The gluing chamber adopts the company's unique all-round automatic cleaning technology without dead ends, which can shorten the maintenance time of the equipment. Both photoresist types are compatible in the glue chamber. This upgraded version of the gluing equipment has optimized and upgraded the performance and appearance of the original gluing equipment of Shengmei, which can realize the drawer type extraction of the hot plate, which is convenient for maintenance and replacement, and can be accurately reset to effectively ensure the operation of the process.
(3) Developing equipment
The company's Ultra C dv development equipment can be applied to wafer-level packaging and is a step in the WLP lithography process. The device can be exposed
Critical steps such as baking, development and film firming after light. The equipment has a flexible nozzle scanning system, accurate liquid flow and temperature control system, lower cost control, leading technology and easy to use.
(4) Wet etching equipment
The company's wet etching equipment uses chemical solutions to etch the metal layer under the wafer sphere (UBM). The device features a leading-edge nozzle scanning system that provides industry-leading chemical temperature control and etch uniformity. The device focuses on security and has
with efficiency.
(5) Wet degumming equipment
The company's Ultra CPR wet degumming equipment is designed to be efficient and precisely controlled, improving safety and increasing WLP capacity. should
The equipment combines wet tank dipping with single-wafer cleaning, and the single-chip cavity can realize high-pressure degumming and atmospheric pressure degumming, or it can be used alone. The degumming platform maximizes efficiency while providing flexible cleaning control and can also be used with the company's proprietary SAPS megasonic cleaning equipment to remove extremely thick or hard-to-remove photoresist coatings.
(6) Metal stripping equipment
The company's wet metal lifting off equipment is based on the company's existing wet degumming equipment platform, which connects the trough degumming soaking module and the monolithic cleaning chamber in series to use it sequentially to remove the glue at the same time. The equipment can be equipped with degumming function and cleaning function in different monolithic cleaning chambers, and by optimizing the cavity structure, it is easy to disassemble, clean and maintain to solve the problem of residue accumulation in the metal stripping process.
(7) Stress-free polishing advanced packaging planarization equipment
The company has expanded and developed the application of metal copper layer planarization process suitable for advanced packaging 3D through-silicon vias and 2.5D interposers
To solve the difficulties of high process cost and large wafer warpage, the electrochemical polishing principle of stress-free polishing is used to flatten CMP compared with traditional chemical mechanical flattening, without slurry, polishing head and polishing pad, and only recyclable electrochemical polishing slurry is used. And it is not affected by whether the copper layer has been annealed, and the removal rate is stable; By integrating with the CMP process, the wafer copper film is thinned to a stress-free finish
Less than 0.5μm - 0.2μm thickness, re-annealing, and finally CMP process solution, can effectively solve the CMP process storage
in the technical and cost bottlenecks.
(8) Wet cleaning equipment for wafers with iron rings
The company has developed a wet cleaning equipment that can be applied to tape-frame wafers, using the company's self-developed chuck design and cavity structure, which can support different sizes of iron rings, which can be used to clean the glue residue after the debonding process, and the cleaning effect fully meets the production needs and improves the yield of the process.
(9) Polymer cleaning equipment
Polymer cleaning equipment uses relevant organic solvents to clean polymer residues after dry etching, mainly used in advanced packaging processes such as 2.5D/3D. The polymer cleaning equipment chamber is compatible with two organic solvents and is equipped with a two-fluid cleaning function. The equipment has a leading Dosing function, which can flexibly set Dosing according to the process time, the use time of the chemical solution and the concentration control of the organic solution to ensure the cleaning ability.
(10) TSV cleaning equipment
TSV cleaning equipment is mainly used in advanced packaging processes such as 2.5D/3D, and there will be polymer residues in the pores in the TSV process
Choose to use a mixture of high-temperature sulfuric acid and hydrogen peroxide for cleaning. The TSV cleaning equipment has a highly efficient temperature control capability, which can control the temperature of the Wafer surface cleaning at a high temperature of 170°C. After cleaning, it can also be used with the company's proprietary SAPS megasonic cleaning equipment to ensure the cleaning effect in the TSV hole.
? Backside cleaning/etching equipment
Backside cleaning/etching equipment can be used for dielectric layer cleaning and etching, as well as for conventional silicon etching processes. The backwash and back-engraving equipment can be reversed by means of an arm flip or a separate tilting unit, and the chamber uses the Bernoulli principle to support the Wafer with nitrogen, allowing the backside cleaning and etching process to be carried out without perfectly protecting the front of the Wafer.
? Adhesive cleaning equipment
Adhesive cleaning equipment is primarily used for the removal of adhesives in 2.5D/3D processes, involving Wafer edge adhesive removal and normalization
Removal of face bonding adhesive. The device is equipped with a separate two-fluid EBR nozzle that can be used to remove Wafer edge adhesive; Use only 3 on the front
Two-fluid nozzles, which can be used in combination or separately, have efficient removal efficiency; At the same time, the chemical solution can be recycled to reduce costs.
? Framed wafer cleaning equipment
The company's framed wafer cleaning equipment enables simultaneous cleaning and drying processes in the same chamber for efficient cleaning and drying. The company's proprietary processing technology enables the device to process thin wafers less than 150 microns thick. The device efficiently cleans semiconductor wafers during the debonding cleaning process, and its innovative solvent recovery system is significantly environmentally and cost-effective, enabling nearly 100% solvent recovery and filtration, thereby reducing the amount of chemicals used in the production process.
(3) Silicon material substrate manufacturing process equipment
(1) Post-CMP cleaning equipment
The company's CMP post-cleaning equipment is used in the manufacture of high-quality silicon substrates and silicon carbide substrates. The device uses diluted chemicals to brush and chemically clean the front, back and edges of the wafer after the CMP step to control surface particle and metal contamination of the wafer, and can also be equipped with the company's proprietary Mesonic Cleaning technology. The machine is available in both WIDO and DIDO configurations, with 2, 4 or 6 chambers to meet different capacity needs.
(2) Final Clean cleaning equipment
The company's Final Clean cleaning equipment is used in the manufacture of high-quality silicon substrates and silicon carbide substrates. This device is in the Pre Clean step
After that, the front and back sides of the wafer are chemically cleaned using a diluted chemical solution combined with the company's unique Mesonic cleaning technology
Control particle and metal contamination on wafer surfaces. The device is suitable for 6-inch, 8-inch, or 12-inch wafer cleaning and can be optionally equipped with 4-cavity,
8 chambers or 12 chambers to meet different capacity needs.
(4) Panel-level advanced packaging equipment
(1) Panel-level advanced packaging negative pressure cleaning equipment
Panel-level advanced packaging vacuum cleaning equipment is mainly used for flux cleaning of smaller pitches as well as smaller SOH chips
The application effect of 2.5D/3D packaging has obvious advantages. Specially designed for panels, which can be made of organic or glass materials.
The device can handle panels up to 510x515 mm and 600x600 mm as well as panel warping up to 7 mm.
(2) Panel-level advanced packaging edge etching equipment
The device is designed for panel substrates and is compatible with organic panels, glass panels, and bonded panels. The device can be effectively managed
The front and back of the panel are available in sizes ranging from 510mm x 515mm to 600mm x 600mm and thicknesses ranging from 0.5mm to 3mm
Between. The machine can handle warpage of up to 10mm, ensuring optimal process conditions. Designed for edge etching and cleaning in copper-related processes, the device is capable of handling both front and back edge etching of panels, significantly improving process efficiency and product reliability.
2.2 Main business model
1. Profit model
As a semiconductor special equipment enterprise facing the international frontier of science and technology and insisting on independent innovation, the company follows global industry practices, mainly engaged in technology and process research and development, product design and manufacturing, and provides customers with equipment and process solutions. According to the design of the product, the company organizes parts outsourcing and outsourcing, establishes a perfect supply chain system, establishes close cooperative relations with core suppliers, and deploys the next year's production capacity demand in advance according to the company's sales forecast, and makes production capacity arrangements and rapid delivery plans in advance.
The supply of important parts is guaranteed. As an equipment manufacturer, the company provides a verification platform to drive the technical research of parts and components through equipment manufacturers, and realize the commercial empowerment of parts and components enterprises. Through the long-term R&D accumulation of technical advantages, the company maintained a high product gross profit, and then maintained a high proportion of R&D investment and market development, and achieved a high profit margin during the reporting period.
2. R&D mode
The company mainly adopts the mode of independent research and development. The company's R&D department is guided by the international technology trends and customer needs of semiconductor special equipment, adopts the strategy of differentiated competition, relies on the international R&D team with rich experience, develops new processes and technologies, completes the verification of technical solutions, and applies for patent protection in major semiconductor producing countries and regions in the world, rapidly industrializes the R&D results, and has made a series of technological innovations and breakthroughs. In addition, the company has set up a professional R&D team in South Korea to combine the respective advantages of the R&D teams in Shanghai, China and South Korea to jointly develop differentiated technologies for the company's products and improve the performance of the company's products. The company has formulated the "R&D Project Management Measures", which stipulates the process of project establishment, approval and implementation of R&D projects. The company will continue to attract outstanding talents, expand and enrich the company's world-class R&D team, and continue to provide the best process solutions for global customers.
3. Procurement mode
In order to ensure the quality and performance of the company's products, the company has established a sound procurement system, and further optimized the supply chain resources, supplier access system and parts supply strategy during the reporting period. Suppliers are continuously required to fill in the "Supplier Questionnaire", establish supplier files, understand the supplier's personnel, production capacity, design ability, financial situation, key parts suppliers, production and testing equipment, etc., conduct a comprehensive assessment of the supplier's product technology and quality, on-time delivery ability and after-sales service, and finally determine the qualified supplier and include it in the list of qualified suppliers. During the reporting period, the company maintained stable long-term cooperative relations with major suppliers.
4. Production mode
The company's products are customized design and manufacturing according to the differentiated needs of customers, mainly adopting the production mode of sales and production, and organizing production according to customer orders.
According to the market forecast or the customer's non-binding forecast, the company prepares the annual production plan, and the monthly production plan is prepared in combination with the customer's order. The company's R&D and design engineers provide assembly drawings according to customer orders, use MES and WMS systems to distribute them to warehouses and production workshops, carry out warehouse picking, batching and assembly, pre-assembly and pre-inspection, and then hand over to the general assembly workshop for the overall assembly line assembly of each module, and then the test department conducts the functional test of each module, and after passing the test, it will be shipped off the production line. The company strictly controls the quality of outsourcing processing, and has established stable cooperative relations with outsourcing manufacturers for many years to ensure that it meets the differentiated needs of customers.
5. Sales model
Since its establishment, the company has always adhered to the global development strategy, and its customers are mainly located in Chinese mainland, Taiwan, South Korea and other countries and regions. The company's market development strategy is: first to develop the world's leading semiconductor enterprise customers, through long-term research and development and technology accumulation, to obtain their recognition of the company's technology and products, in order to establish the company's market reputation. Then, with the performance and reputation achieved in the international industry, we continue to explore emerging regional markets in the semiconductor industry such as Chinese mainland. After years of hard work, the company has formed a relatively stable cooperative relationship with Hynix, Huahong Group, Yangtze River Storage, SMIC, Hefei Changxin and other leading enterprises in China's semiconductor industry.
The company sells its products through a direct sales model, and there is no distribution and distribution model. During the reporting period, the company obtained orders by entrusting agents to promote, negotiate with potential customers or through bidding.
2.3 The situation of the industry
(1). The development stage, basic characteristics and main technical thresholds of the industry
(1) The development trend of the industry and the opportunities it faces
(1) The demand for semiconductor applications and consumer markets has maintained long-term growth
In recent years, affected by the rapid development of downstream consumer electronics, Internet of Things, industrial interconnection, automotive electronics and other fields, Chinese mainland has once again set off a climax of wafer capacity construction, driving a significant increase in semiconductor equipment investment. According to a report by Knometa Research, by 2026, Chinese mainland's chip production capacity will reach 22.3%, surpassing South Korea (21.3%) and Taiwan (21%), becoming the world's first. Most of this new wafer capacity is made by Chinese entities. The expansion of wafer production capacity has promoted the training of professionals in China's semiconductor industry and the development of supporting industries, and the benign development of the semiconductor industry environment has provided opportunities for the expansion and upgrading of China's semiconductor special equipment manufacturing industry.
(2) Regional competition in the global semiconductor industry has intensified
The semiconductor industry has the characteristics of many production technology processes, many product types, fast technology upgrading, high investment risk, and wide downstream applications. At present, Chinese mainland is the world's largest consumer market for semiconductor terminal products, the scale of China's semiconductor industry continues to expand, and the demand for semiconductor special equipment in Chinese mainland will continue to grow.
(2) Characteristics of semiconductor special equipment industry
(1) The position of semiconductor special equipment in the semiconductor industry chain is very important
As an important basic support role in the industrial chain, semiconductor special equipment is the carrier of core technology and process, and plays an important basic supporting role in industrial development. The technology of semiconductor special equipment is complex, and customers have strict requirements for the technical parameters and operation stability of the equipment to ensure production efficiency, quality and yield. The technological progress of the integrated circuit manufacturing process, in turn, will promote the continuous pursuit of technological innovation by semiconductor special equipment enterprises. At the same time, the technological update and iteration of the integrated circuit industry has also brought about a continuous demand for equipment investment, and the technological improvement of semiconductor special equipment has also promoted the sustained and rapid development of the integrated circuit industry.
(2) The technical barriers of semiconductor special equipment are high, and it is difficult to pass customer verification
The semiconductor special equipment industry is a technology-intensive industry, and the production technology involves the comprehensive application of multidisciplinary and multi-field knowledge such as microelectronics, electrical, mechanical, materials, chemical engineering, fluid mechanics, automation, image recognition, communication, and software systems. Semiconductor special equipment has high value and complex technology, which has a great impact on the product quality and production efficiency of downstream customers. Customers in the semiconductor industry have strict requirements for the quality, technical parameters, and stability of semiconductor special equipment, and are more cautious in the selection of new equipment suppliers. Generally, suppliers with a certain market reputation and market share in the industry are selected, and the verification process of their equipment is carried out with a long cycle. Therefore, semiconductor special equipment companies have a long cycle and are difficult to verify customers and develop markets.
(3) Technical threshold of integrated circuit equipment industry
The integrated circuit equipment industry has a high technical threshold, and there is still a gap between the company's technical level and international giants, so it is necessary to accelerate the process of technology research and development and industrialization. Integrated circuit equipment involves microelectronics, electrical, mechanical, materials, chemical engineering, fluid mechanics, automation, image recognition, communication, software systems and other multi-disciplinary, multi-field knowledge comprehensive application, dynamic sealing technology, ultra-clean room technology, particle and pollution analysis technology and other cutting-edge manufacturing technologies. Therefore, integrated circuit equipment has the characteristics of high technical content, difficult manufacturing, high equipment value and high industry threshold, and is recognized as one of the representatives of the highest level of precision manufacturing in the industry.
(2). Analysis of the company's position in the industry and its changes
The global semiconductor cleaning equipment market is highly concentrated, especially in the field of monolithic cleaning equipment, with a total market share of more than 90% among the four companies DNS, TEL, LAM and SEMES, of which DNS has the highest market share with a market share of more than 33%. The local 12-inch wafer fab cleaning equipment is mainly from DNS, Shengmei, LAM, and TEL.
At present, there are few companies in Chinese mainland that can provide semiconductor cleaning equipment, mainly including Shengmei Shanghai, North Huachuang, Xinyuan Micro and Zhichun Technology. According to the cumulative data statistics of the special report of Bank of China Securities, the market share of the company's cleaning equipment in China
is 23%; And Gartner's 2023 data shows that the company's global cleaning equipment market share is 6.6%, ranking fifth. Clearing
In addition to washing equipment, the company is also actively expanding its product portfolio, expanding its layout in the fields of semiconductor electroplating equipment, semiconductor copper polishing equipment, advanced packaging wet equipment, vertical furnace equipment, front-end gluing and developing track equipment, plasma-enhanced chemical vapor deposition (PECVD) equipment, etc. Among the top five semiconductor equipment manufacturing enterprises in Chinese mainland in 2023, the company ranks among them.
(3) The development and future development trend of new technologies, new industries, new forms of business and new models during the reporting period
In order to implement the "14th Five-Year Plan" for the development of the digital economy and support the development of the new generation of information technology industry, China has vigorously developed semiconductor manufacturing equipment and technology, which will promote scientific and technological innovation, enhance the competitiveness of key links in the industrial chain, accelerate the transformation and upgrading of the manufacturing industry, strengthen leading scientific and technological research, and ensure the foundation for the development of the digital economy.
(1) It will develop in the direction of high precision and high integration
The semiconductor industry has the characteristics of "a generation of equipment, a generation of processes and a generation of products", semiconductor product manufacturing should advance the development of a new generation of electronic systems, and semiconductor equipment should be advanced semiconductor product manufacturing and development of a new generation of products. Therefore, semiconductor equipment companies need to continuously improve their technology research and development capabilities, promote product iteration and upgrading and new product research and development, and continue to optimize product layout. With the continuous progress of semiconductor technology, the integration of semiconductor devices continues to increase. On the one hand, chip process nodes continue to shrink, by:
12μm-0.35μm (1965-1995) to 65nm-22nm (2005-2015), and still developing in a more advanced direction;
On the other hand, the size of semiconductor wafers has been expanding, and the mainstream wafer size has developed from 4 inches and 6 inches to 8 inches and 12 inches at this stage. In addition, the structure of semiconductor devices is becoming more complex. For example, NAND flash memory in the memory field, according to the international semiconductor technology roadmap, when the process size is gradually reduced, the current flash memory technology will reach the limit of size reduction, and memory technology will shift from 2D to 3D architecture and enter the 3D era. In the 3D NAND manufacturing process, the main thing is to change the series memory cells arranged horizontally in the two-dimensional plane in the original 2D NAND to the vertical arrangement, and solve the problem on the plane by increasing the number of three-dimensional layers
The number of stacking layers has also developed from 32 layers and 64 layers to 128 layers and above. These are specific to semiconductors
The requirements for precision and stability of equipment are getting higher and higher, and semiconductor special equipment will develop in the direction of high precision and high integration in the future.
(2) The coexistence and development of various technical grade equipment
Considering that semiconductor chips are extremely widely used, the performance requirements and technical parameters of chips vary greatly in different application fields, such as SoC logic chips used in mobile phones, which often require the use of 12-inch wafers, while for industrial, automotive electronics, and power electricity
Sub-purpose chips are still heavily used in 6-inch and 8-inch wafers and μm-scale processes. Chips of different technical grades are in high demand
The coexistence also determines the market demand for semiconductor special equipment of different technical grades. In the future, with the continuous development of semiconductor industry technology, the demand for semiconductor special equipment suitable for 12-inch wafers and more advanced processes will grow at a faster rate, but high, medium and low technology level equipment has its corresponding market space, and will continue to coexist in the short term.
3. The company's main accounting data and financial indicators
3.1 Key accounting data and financial indicators for the past 3 years
Unit: Yuan Currency: RMB
2024 2023 This year is compared to 2022
Increase/decrease (%)
Total assets 12,128,452,382.81 9,753,797,716.90 24.35 8,175,564,025.53
Net attributable to shareholders of listed companies 7,665,635,114.21 6,458,265,703.22 18.69 5,524,033,261.00
asset
Operating income 5,617,740,375.66 3,888,342,742.05 44.48 2,873,045,516.26
Net attributable to shareholders of listed companies 1,153,188,090.00 910,521,979.19 26.65 668,486,949.72
profit
Deduction attributable to shareholders of listed companies 1,108,846,723.27 867,679,683.38 27.79 689,892,830.15
Unless the net profit is recurring
Cash flow from operating activities 1,216,142,991.74 -426,963,656.49 Not applicable -268,715,774.96
Net
The weighted average return on equity (% 16.65 15.19 increased by 1.46 hundred 12.98
Equinox
Basic earnings per share (RMB/share) 2.64 2.09 26.32 1.54
Diluted earnings per share (RMB/share) 2.61 2.05 27.32 1.53
R&D investment to operating income ratio 14.93 16.93 decreased by 2.00 14.88
Example (%) points
3.2 Key accounting data by quarter in the reporting period
Unit: Yuan Currency: RMB
Q1 Q2 Q3 Q4
(January-March) (April-June) (July-September) (October-December)
Operating income 921,388,511.56 1,482,508,218.94 1,572,765,045.40 1,641,078,599.76
80,183,413.97 362,999,181.21 315,001,885.79 395,003,609.03 attributable to shareholders of listed companies
Net profit
attributable to shareholders of listed companies
84,326,167.07 350,212,998.06 306,292,326.58 368,015,231.56 after deducting non-recurring gains and losses
Net profit
Cash flow from operating activities -155,024,348.43 601,743,195.22 120,653,932.25 648,770,212.70
Net amount
Explanation of the discrepancies between the quarterly data and the data disclosed in the periodic report
□ Applicable √ Not applicable
4. Shareholders
4.1 The total number of common shareholders, the total number of preferred shareholders whose voting rights have been restored, and the total number of shareholders holding special voting shares and the top 10
shareholders
Unit: Shares
The total number of ordinary shareholders as of the end of the reporting period was 12,167
The total number of ordinary shareholders at the end of the previous month prior to the disclosure date of the annual report was 12,251
Total number of preferred shareholders whose voting rights were restored as of the end of the reporting period
The total number of preferred shareholders whose voting rights were restored at the end of the previous month prior to the disclosure date of the annual report
Number (Households)
Total number of shareholders holding special voting shares as of the end of the reporting period
Shareholders who held special voting shares at the end of the previous month prior to the disclosure date of the annual report
Total (Households)
Shareholdings of the top 10 shareholders (excluding shares lent through refinancing)
Stake, token, or freeze
Holding a balance
Name of shareholder During the reporting period Number of shares held at the end of the period Proportion Restricted Shareholder
(Full name) increase or decrease (%) shares nature of shares
Quantity Status Quantity
ACM RESEARCH, INC. 0 357,692,308 81.53 0 N/A 0 Overseas legal person
China Merchants Bank Co., Ltd.-
ChinaAMC SSE STAR Market 50 Ingredients -1,913,043 6,157,494 1.4 0 None 0 Others
Invest in exchange-traded open-ended index securities
funds
Shanghai Pudong Emerging Industry Investment 0 4,615,384 1.05 0 No 0 State-owned legal person
Limited to companies
Industrial and Commercial Bank of China Co., Ltd
Sino Growth Hybrid Securities 1,197,172 4,582,795 1.04 0 N/A 0 Others
investment fund
Agricultural Bank of China Co., Ltd
Division-Oriental Artificial Intelligence Theme Mix 1,195,375 4,149,680 0.95 0 None 0 Other
Synthetic securities investment funds
Hong Kong Securities Clearing Company Limited 907,119 3,720,059 0.85 0 None 0 Other
Industrial and Commercial Bank of China Co., Ltd
S.E-Fund SSE STAR Market 1,370,392 3,672,486 0.84 0 N/A 0 Others
50 Component Trading Open-ended Index
A number of securities investment funds
CITIC Securities Co., Ltd.-
Harvest SSE STAR Market Chip Trading 1,063,232 1,893,164 0.43 0 N/A 0 Other
Open-ended index securities investment base
gold
Industrial and Commercial Bank of China Co., Ltd
Division-Huatai Pineapple CSI 300 Unknown 1,555,102 0.35 0 None 0 Other
Easy-to-type open-ended index securities investment
funds
China Construction Bank Co., Ltd
Division-Huaxia Guozheng Semiconductor Chip -550,845 1,368,488 0.31 0 None 0 Other
Invest in exchange-traded open-ended index securities
funds
The above description of shareholder affiliation or concerted action does not apply
Explanation of preferred shareholders whose voting rights have been restored and the number of shares held is not applicable
Holders of depositary receipts
□ Applicable √ Not applicable
The top 10 shareholders in terms of the number of voting rights as of the end of the reporting period
□ Applicable √ Not applicable
4.2 Block diagram of the property rights and control relationship between the company and the controlling shareholder
√ Applicable □ Not applicable
4.3 Block diagram of the property rights and control relationship between the company and the actual controller
√ Applicable □ Not applicable
4.4 The total number of preferred shareholders and the top 10 shareholders of the company at the end of the reporting period
□ Applicable √ Not applicable
5. Corporate bonds
□ Applicable √ Not applicable
Section 3: Important Matters
1. The company shall, in accordance with the principle of materiality, disclose the major changes in the company's operation during the reporting period, as well as the events that have a significant impact on the company's operation during the reporting period and are expected to have a significant impact in the future.
During the reporting period, the company achieved operating income of 5.618 billion yuan, an increase of 44.48% over the same period of last year; net profit attributable to shareholders of listed companies was 1.153 billion yuan, an increase of 26.65% over the same period of last year; The net profit attributable to shareholders of the listed company after deducting non-recurring gains and losses was 1.109 billion yuan, an increase of 27.79% over the same period of last year.
2. If there is a delisting risk warning or termination of listing after the disclosure of the company's annual report, the reasons for the delisting risk warning or termination of listing shall be disclosed.
□ Applicable √ Not applicable
Ticker Name
Percentage Change
Inclusion Date